Thermal Management Expo Europe Unveils Business Opportunities for High-Tech Industries

The flagship event of the global Thermal Management Expo portfolio, Thermal Management Expo North America is a unique, free-to-attend exhibition and conference that connects senior engineers and key decision-makers with suppliers specializing in thermal systems and materials.

SEMIFIVE Starts Mass Production of its 14nm AI Inference SoC Platform-based Product

This marks SEMIFIVE’s third commercialization of its SoC Platform solutions built on Samsung Foundry’s mass production proven FinFET process technologies.

CEOs of Chip, Auto, Medical Device, Tech, Telecom, Other Companies Call on Congress to Strengthen U.S. Semiconductor Research, Design, Manufacturing

The Semiconductor Industry Association (SIA) today applauded a letter sent this morning to congressional leaders by a broad coalition of 59 CEOs and senior executives urging swift action to fund the CHIPS for America Act and enact a strengthened version of the FABS Act to bolster U.S.-based semiconductor research, design, and manufacturing.

Microchip Continues Expansion of Gallium Nitride (GaN) RF Power Portfolio

Microchip Technology Inc. today announced a significant expansion of its Gallium Nitride (GaN) Radio Frequency (RF) power device portfolio with new MMICs and discrete transistors that cover frequencies up to 20 gigahertz (GHz).

IDC Forecasts Worldwide Quantum Computing Market to Grow to $8.6 Billion in 2027

International Data Corporation (IDC) today published its first forecast for the worldwide quantum computing market, projecting customer spend for quantum computing to grow from $412 million in 2020 to $8.6 billion in 2027.

xMEMS Announces Tomales, the World’s First Monolithic MEMS Tweeter for Smart Glasses and Extended Reality Headset Applications

xMEMS Labs today introduced Tomales, the world’s first monolithic MEMS tweeter µspeaker. Tomales’ top and side-firing package options and 1mm-thin profile simplify speaker placement and positioning to direct audio toward the ear in smart glasses and xR headset applications.

Toshiba Releases High Peak Output Current Photocouplers in Thin Packages for Driving IGBTs/MOSFETs Gates

Toshiba has introduced two photocouplers, “TLP5705H” and “TLP5702H,” housed in a thin SO6L package, for use as insulated gate drivers for small to medium capacity IGBTs/MOSFETs.

Keysight’s New Parallel Parametric Test System Delivers High Throughput and Cost-Effective Wafer Test

Keysight Technologies, Inc., a technology company that delivers advanced design and validation solutions to help accelerate innovation to connect and secure the world, announced the new Keysight P9002A parallel parametric test system, which provides high throughput and cost effective wafer test to accelerate time-to-market in R&D and lower cost-of-test in manufacturing.

Hprobe Announces New Generation of Magnetic Test Head for Wafer Sort of MRAM in Mass Production

Hprobe, a provider of turnkey semiconductor Automatic Test Equipment (ATE) for magnetic devices, today announced a breakthrough magnetic test head revolutionizing MRAM Wafer Sort (WS).

Katana Graph and Intel Collaborate on Graph Analytics Python Library

Graph computing leader’s development of Python library and Metagraph plug-in expands opportunities for open core community and more impactful data insights.

Quantum Computers Getting Connected

Research team with participation of the University of Stuttgart succeeds in integrating color centers into nanophotonic silicon carbide structures.

SEMICON West 2021 to Gather Visionaries to Explore New Semiconductor Supply Chain Strategies and Opportunities

Future implications of ongoing global supply chain disruptions will take the spotlight as U.S. Deputy Secretary of Commerce Don Graves joins SEMI President and CEO Ajit Manocha for opening remarks at SEMICON West 2021 Hybrid, December 7-9 at the Moscone Center in San Francisco.

Micron and UMC Announce Global Settlement

United Microelectronics Corporation (TWSE: 2303; NYSE: UMC) and Micron Technology, Inc. (Nasdaq: MU) today announced a settlement agreement between the two companies worldwide.

Researchers Shrink Camera to the Size of a Salt Grain

Micro-sized cameras have great potential to spot problems in the human body and enable sensing for super-small robots, but past approaches captured fuzzy, distorted images with limited fields of view.

A*STAR’s Institute of Microelectronics and STMicroelectronics Team Up on Silicon Carbide R&D for the EV Market and Industrial Applications

The Institute of Microelectronics (IME) at the Agency for Science, Technology and Research (A*STAR) and STMicroelectronics (NYSE: STM), a global semiconductor leader serving customers across the spectrum of electronics applications, announced the start of a Research & Development (R&D) collaboration in the field of silicon carbide (SiC) for power-electronics applications in the automotive and industrial markets.

Advantest Announces New Versatile, High-Throughput Test Solution for NAND/Nonvolatile Flash Memory ICs

Semiconductor test equipment supplier Advantest Corporation (TSE: 6857) has introduced a new high-throughput memory tester for NAND flash devices that can perform functional testing of chips while delivering highly accurate timing, repeatability and failure detection.

CyberOptics to Deliver Technical Presentation About the Importance of 3D Inspection for Advanced Packaging at SEMICON Taiwan

CyberOptics Corporation (NASDAQ: CYBE), a developer and manufacturer of high-precision 3D sensing technology solutions will share a technical presentation at the Heterogeneous Integration Global Summit organized by SEMICON Taiwan Dec 1-3rd.

Forza Integrated Production Services Achieve Practical Yields for Back Side Illumination Sensors

Forza Silicon recently used its Integrated Production Services (IPS) to enable the production of high-speed image sensors that employ back side illumination (BSI) technology.

Sales of CMP Slurry in Semiconductor Industry to Drive Market at 7.8% CAGR

Rising demand for electronic products and increasing need to facilitate the fabrication process are some of the major factors driving the growth in CMP slurry market.

GaN Systems and USI Form Strategic Partnership to Accelerate GaN Adoption in Electric Vehicles

GaN Systems and USI have signed a strategic partnership agreement to co-develop GaN power modules for the automotive market.

CyberOptics to Deliver Technical Presentation About the Importance of 3D Inspection for Advanced Packaging at SEMICON Taiwan

CyberOptics Corporation, a global developer and manufacturer of high-precision 3D sensing technology solutions will share a technical presentation at the Heterogeneous Integration Global Summit organized by SEMICON Taiwan Dec 1-3rd.

MACOM Appoints Mr. Hualiang Xiong to President of MACOM China

MACOM Technology Solutions Inc. today announced that Mr. Hualiang Xiong has been appointed President of MACOM China.

Researchers Use AI to Optimize Several Flow Battery Properties Simultaneously

Scientists seek stable, high-energy batteries designed for the electric grid.

Featured Video

Ultrapure Water Monitoring for Semiconductor Sustainability
Is your semiconductor plant seeking methods to enhance sustainability in wafer manufacturing and water reclamation? The semiconductor sector faces two challenges: waste reduction and water usage. The use of on-line water analytics can assist in overseeing water quality in both wafer manufacturing and reclamation/reuse procedures. This video underscores the significance of monitoring the levels of conductivity, TOC, and microbes to identify impurities that may lead to rejected wafers. Monitoring these parameters also ensures the purity of water to improve wafer quality and yield. Furthermore, this video emphasizes the importance of monitoring the levels of dissolved oxygen, TOC, and pH in waste streams to optimize water recovery.

Featured Products

EVENTS

april

202402aprAll Day03US International Semiconductor Executive SummitFairmont Scottsdale Princess

202410aprAll Day112024 CMC ConferenceCrowne Plaza Phoenix-Chandler Golf Resort, San Marcos Place OneFeatured

202421aprAll Day25SESHA SymposiumHilton Resort at the Peak, 7677 North 16th Street, Phoenix, AZ 85020

202430aprAll Day01mayThermal Management Expo 2024Suburban Collection Showplace, 46100 Grand River Ave. Novi, MI 48374Featured

202401mayAll Day02MEMS & Sensors Technical Congress — MSTC 2024University of California, Los Angeles, 405 Hilgard Avenue, Covel Commons in Sunset Village, Housing at Luskin CenterFeatured

may

202430aprAll Day01mayThermal Management Expo 2024Suburban Collection Showplace, 46100 Grand River Ave. Novi, MI 48374Featured

202401mayAll Day02MEMS & Sensors Technical Congress — MSTC 2024University of California, Los Angeles, 405 Hilgard Avenue, Covel Commons in Sunset Village, Housing at Luskin CenterFeatured

202406mayAll Day09ESTECH 2024Contamination Control • Environmental Test/Reliability • Nanotechnology FacilitiesPlanet Hollywood, 3667 S Las Vegas BlvdFeatured

202413mayAll Day16Advanced Semiconductor Manufacturing Conference — ASMC 2024Hilton Albany, 40 Lodge StreetFeatured

202414mayAll Day17Display Week 2024San Jose McEnery Convention Center, 408 Almaden BlvdFeatured

202416may1:00 pm5:00 pmWomen in Semiconductors — WIS 2024Hilton Albany, 40 Lodge StreetFeatured