Challenges of 10nm and 7nm CMOS at IEDM

Process technology (including litho) and process integration remains the most critical factor in determining success moving forward.

The International Electron Devices Meeting (IEDM) was held in Washington, D.C. this week. I attended a short course on Sunday focused on the Challenges of 10nm and 7nm CMOS Technologies, organized by Aaron Thean of imec. The speakers were Frederic Boeuf of ST Microlelectronics, who gave a general overview of drivers and challenges; Zsolt Tokei of imec, who spoke on interconnect challenges; Andy Wei of GLOBALFOUNDRIES who talked about process integration challenges, Paul Franzon of North Carolina State University who gave an overview of 2.5D and 3D stacked ICs, and Mark Neisser of Sematech of spoke on lithography challenges and EUV readiness for 10nm and beyond.

Monday morning brought three plenary speakers in the form of a talk on graphene integrated circuits by Andrea Ferrari from the University of Cambridge, a fascinating “super chip” concept presented by Mitsumasa Koyanagi from Tohoku University, and a most excellent talk by Geoffrey Yeap of Qualcomm Technologies on how smart mobile SoCs are now driving the semiconductor industry. During lunch, IEDM chairs Ken Rim of Qualcomm and Suman Datta of Penn State highlighted 15 of the top papers, many of them showing recording breaking results

I also attended an interesting evening panel session hosted by Leti that gave an overview of their electronics research efforts, a panel session hosted by Applied Materials on 3D NAND, and a luncheon talk by Eric Enderton of NVDIA research.

I’ll be summarizing what I learned in the coming weeks and months, but it was very clear to me that process technology (including litho) and process integration remains the most critical factor in determining success moving forward. In FinFET production, for example, a gate-last/high-k last process is detrimental to total parasitic capacitance compared to a gate last/high-k first approach. Hopes remain high for EUV – the urgent need for it was clearer than ever – but Andy Wei said it was not going to happen for 10nm (let’s leave it at that he said) and Neisser said the delay has already cause most companies to look earnestly for alternatives. He said DSA was showing great promise, particularly for vias, but it was difficult to assess progress since those involved were not yet publicly discussing results.

“A dream for the device engineer could be a nightmare for a process integration engineer,” said Boeuf in the opening talk. That seemed to be echoed throughout the conference, where the potential of new devices such as tunnel FETs or materials such as graphene were always tempered with a dose of reality that materials had to be deposited, patterned, annealed to create devices, and those devices had to be connected. There was also the perhaps inevitable discussion about how long the industry could continue scaling. We are “running out of numbers,” Wei said in a response to a question regarding what was after 7nm. “We’re running out of atoms,” he added. What was most startling was a comment from Serge Tedesco of Leti who said that ML2 and DSA, as cost effectives and complementary solutions, could extend 193i lithography to the end of the roadmap! The end of the roadmap? I have not given much thought to an end to the roadmap, although the ITRS looks out to 2026. For now, I’ll assume that means the end of conventional scaling, but I have to say I never want to see it end.  

Exit mobile version