eBeam Initiative Survey Reports Continued Optimism for Photomask Market Growth

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its 10th annual eBeam Initiative Luminaries survey.

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its 10th annual eBeam Initiative Luminaries survey. Industry luminaries representing 44 companies from across the semiconductor ecosystem—including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research—participated in this year’s survey.

72 percent of survey respondents predict that mask revenues in 2021 will increase compared to 2020, while 74 percent believe that EUV will contribute to mask revenue growth. EUV also remains the top reason cited by respondents for purchasing multi-beam mask writers. 90 percent of respondents believe that purchases of multi-beam mask writers will grow over the next three years, while purchasing sentiment for laser mask writers and variable shaped beam (VSB) eBeam mask writers has increased compared to last year’s survey. Confidence in curvilinear mask making is also high according to a new survey question, with 71 percent indicating that leading-edge mask shops can handle at least a limited number of such masks.

The complete results of the Luminaries survey will be discussed by an expert panel this evening during an eBeam Initiative virtual event held in conjunction with the SPIE Photomask Technology Conference, and will be available for download following the event at www.ebeam.org.

Additional Highlights from the Luminaries Survey (conducted in July 2021)

“For ten years, the annual eBeam Initiative Luminaries survey has provided valuable insight into what leading influencers see are the key trends shaping the semiconductor industry,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “Last year’s survey indicated a positive outlook for the photomask market despite the COVID-19 pandemic, and this year’s survey results indicate an even more upbeat outlook on the market. Also notable from the survey is the high confidence that the luminaries have for curvilinear mask making. This sentiment is reflected in this year’s SPIE Photomask Conference program, which for the first time has dedicated an entire session to curvilinear masks—illustrating growing recognition that curvilinear mask features are essential for advanced-node semiconductor manufacturing.”

Exit mobile version