eBeam Initiative Survey Reports Semiconductor Industry Luminaries Are Confident in High-NA EUV and Curvilinear Photomasks

Results of 12th annual Luminaries survey to be presented at live event held during SPIE Photomask Technology + EUV Lithography Conference.

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its 12th annual eBeam Initiative Luminaries survey. Industry luminaries representing 47 companies from across the semiconductor ecosystem—including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research—participated in this year’s survey.

80 percent of survey respondents believe that broad adoption of high-NA EUV lithography in high-volume manufacturing (HVM) by more than one company will occur by 2028, the same percentage as reported in last year’s survey. In addition, confidence that leading-edge mask shops can handle curvilinear mask demand doubled compared to last year’s survey, while 87 percent predict that leading-edge mask shops can handle at least a limited number of curvilinear masks.

New questions were added to the Luminaries survey this year to gauge perceptions on EUV and non-EUV leading-edge masks and patterning. 70 percent say that curvilinear inverse lithography technology (ILT) is useful for non-EUV leading-edge nodes, while 75 percent agree that it’s needed for 2-nm, 0.33 NA EUV. The percentage of EUV masks inspected by actinic inspection is predicted to double in three years, from a weighted average of 30 percent in 2023 to 63 percent in 2026. In addition, 95 percent agree that multi-beam mask writers are needed to write EUV masks.

The complete results of the Luminaries survey will be discussed by an expert panel this evening during an eBeam Initiative event held in conjunction with the SPIE Photomask Technology + EUV Lithography Conference in Monterey, Calif., and will be available for download following the event at www.ebeam.org.

Additional Highlights from the Luminaries Survey (conducted in July 2023)

83 percent predict that 2023 mask revenues will either increase or remain the same, despite SEMI forecasting a 3 percent contraction in the mask market
82 percent predict that high-NA EUV will first be used in HVM by 2027
71 percent believe the minimum mask dimension for high-NA EUV will be 20 nm or below
A majority of respondents predict that 193i-only equipment purchases will increase over the next three years for multi-beam mask writers (77 percent) and mask inspection (63 percent)
83 percent consider “non-EUV leading-edge” (the node at which 193i lithography reaches a practical limit of economic viability) to be in the range of >5 nm to 14 nm

“Participants in the annual eBeam Initiative Luminaries survey are a unique group of semiconductor insiders with keen insight into the market and technology trends that are shaping the industry. For the past few years, the survey has pointed to increased use of curvilinear ILT as a top trend for both EUV and 193i. The results of this year’s survey show continued confidence in curvilinear masks, including for non-EUV leading-edge nodes, and a trend towards more critical layers using ILT,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “Curvy masks are now possible thanks to multi-beam mask writing and GPU acceleration. Demonstrating benefits such as up to 100 percent increase in process window, curvy masks have shown that they can be part of the solution to extending current lithography technologies to more advanced nodes.”

Exit mobile version