Micron joins eBeam Initiative

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced that Micron has joined the eBeam Initiative. As an industry leader in memory and storage solutions, Micron will provide a unique and important perspective to the educational activities of the eBeam Initiative within the semiconductor photomask and lithography supply chain.

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced that Micron has joined the eBeam Initiative. As an industry leader in memory and storage solutions, Micron will provide a unique and important perspective to the educational activities of the eBeam Initiative within the semiconductor photomask and lithography supply chain. 

In 2009, the eBeam Initiative was launched to provide a strong voice and educational platform for eBeam technology within the photomask and semiconductor design and manufacturing community. Instrumental to its efforts, the eBeam Initiative leverages its annual perceptions survey and mask makers’ survey to confirm key trends to help guide the industry forward in supporting the introduction of new eBeam technologies. With Micron — which participated in the 2019 mask makers’ survey — now included among its roster of more than 50 member companies, the eBeam Initiative continues its charter to enable industry collaboration to advance the eBeam technology ecosystem. 

Today, during the SPIE Advanced Lithography Conference being held at the San Jose Convention Center, the eBeam Initiative will host its 12th annual members meeting along with this year’s co-sponsor, the Center for Deep Learning in Electronics Manufacturing (CDLe). Dr. Ezequiel Vidal-Russell, senior director of mask technology at Micron, will cover topics key to the future success of photomask manufacturing and lithography, including inverse lithography technology (ILT) and curvilinear masks for advanced memory designs. Copies of presentations will be made available after February 25 on the eBeam Initiative website at www.ebeam.org

“eBeam technology has been instrumental in advancing the progress of the semiconductor industry — even more so today as the industry faces numerous new challenges in mask making and lithography. The support of our members is crucial to raising awareness of new developments in eBeam technology that enable the industry’s success, and we sincerely appreciate their efforts,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “With Micron already making important contributions to our annual mask makers’ survey, it gives me great pleasure to announce them as our newest member. With their expertise and unique insight, they will make an important addition to the eBeam Initiative.”

Exit mobile version