SkyWater Enters License Agreement with Xperi for Hybrid Bonding Technology

Hybrid bonding capability strongly complements SkyWater’s developing portfolio of heterogeneous integration solutions including silicon interposer and fan-out packaging.

SkyWater Technology (NASDAQ: SKYT) and Adeia, the newly launched brand for the IP licensing business of Xperi Holding Corporation (NASDAQ: XPER) (“Xperi”), today announced that SkyWater signed a technology licensing agreement with Xperi Corporation. SkyWater and its customers will now have access to Adeia’s ZiBond® direct bonding and DBI® hybrid bonding technology and IP to enhance next generation devices for commercial and government applications. This technology adds to SkyWater’s developing heterogeneous integration platform solutions in its Florida facility which also includes silicon interposer and fan-out wafer-level packaging (FOWLP) technologies.

“It’s an exciting time in the semiconductor industry, where advanced packaging technology has become a key enabler for product performance and innovation. Adeia has pioneered 3D integration with hybrid bonding and is leading industry adoption through technology knowhow transfer,” said Laura Mirkarimi, vice president, 3D semiconductor portfolio and technology of Adeia. “We applaud SkyWater’s efforts to offer this technology to their customers.”

“We’re pleased to offer our customers access to Adeia’s hybrid bonding technology as the industry continues to shift toward advanced 3D technologies and architectures to scale performance and functionality,” said Dr. Steven Kosier, SkyWater’s chief technology officer. “We see demand from our customers for domestic advanced packaging capabilities which provide supply chain transparency and robust IP protection. We’re investing in this technology today with Adeia, a recognized leader in hybrid bonding, because it enables our customers’ product roadmaps, competitive advantage, and accelerated time to market.”

As traditional Moore’s Law scaling shows diminishing returns and becomes more expensive, the industry is progressively looking toward 2.5D and 3D stacking and integration technologies to meet market demands. The 3D high performance packaging market is expected to grow to nearly $8B by 20271. Hybrid bonding 3D integration technology is increasingly being utilized in various semiconductor devices such as sensors, memory, and logic to enable increased I/O density, improved electrical and mechanical performance, and reduced size and cost. Hybrid bonding will enable a new wave of semiconductor devices rearchitected with 3D in mind from the start as opposed to simply stacking conventional 2D-architected designs.

Exit mobile version