Litho Materials Market Growing to $3.2B in 2019 Despite Trade Wars

TECHCET—the advisory services firm providing electronic materials information— announced that the global market for lithography materials in semiconductor manufacturing is forecasted to grow to US$3.2 billion in 2019 despite ongoing trade wars. Global trade war was recently escalated by Japan against South Korea, placing export restrictions on critical materials for semiconductor manufacturing including advanced photoresists. The latest Critical Materials Report™ (CMR) on Photoresists, Extensions, and Ancillary Materials, shows 2019 global sub-market sizes of US$1.7 billion, US$0.9 billion, and US$580 million (respectively). Despite trade wars, TECHCET sees steadily increasing demand for lithography materials to reach approximately US$4B in 2023 (see Figure).

“EUV lithography is first being used by Samsung Electronics to reduce turn-around-time and improve yield in their 7nm-node logic line in South Korea, while the designs allow for multiple exposures of 193nm DUV lithography to produce the same chips in more time,” explained Ed Korczynski, TECHCET senior technology analyst and author of the report. “However, qualifying a new IC lithography material for high-volume manufacturing typically takes many months and millions of dollars in test wafers and metrology, so the recent export restrictions by Japan will definitely hurt the bottom lines of South Korean fabs.”

DUV and EUV photoresists are highly engineered chemical blends which cannot be easily replicated, so it is unlikely that South Korean chemical companies can establish local production by 2020. TECHCET estimates that the Top-6 photoresist suppliers represent >85% of the global market, and of those only DuPont is not a Japanese company. Consequently, the action by the Japanese government to restrict Japanese photoresist sales to South Korea represents a gift of market share to DuPont. 

This report covers the following suppliers:  Avantor, BASF, Brewer Science, Dongjin Semichem, Dongwu Fine-Chem, DuPont (formerly Dow), Eastman Chemical, FujiFilm, JSR, Kempur, KMG (Cabot Microelectronics), Merck/EMD, Moses Lake Industries, Nissan Chemical, PhiChem, SACHEM, Shin-Etsu, Sumitomo, Suntific, Tama Chemical, Tokyo Ohka Kogyo, and Versum.

Purchase Reports Here:  https://techcet.com/product-category/photoresist-and-ancillaries/ABOUT TECHCET:  TECHCET CA LLC is an advisory service firm focused on process materials supply-chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC Fabs membership please contact info@cmcfabs.org +1-480-332-8336, or go to www.techcet.comorwww.cmcfabs.org

Exit mobile version