Executive Viewpoints: 2020 Outlook

Each year, Semiconductor Digest turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2020.

5G Poised to Shape 2020 and Beyond

Mike Plisinski, CEO, Onto Innovation

Mike Plisinski

I can’t look ahead to 2020 without first taking at least a quick look back at 2019. Clearly, it was a significant year for us. Onto Innovation was born in October, out of the merger of two strong companies, Nanometrics and Rudolph Technologies, each with a leading market position and a long legacy of success. The new company promises to continue that success, leveraging a greater scale of available resources across a wider scope of products and markets. Onto Innovation is now among the top 15 largest semiconductor equipment suppliers (by WFE revenue) in the world. That scale is recognized by customers as a key supplier and partner for developing next-generation innovations. Onto Innovation offers a broad portfolio of technologies, including equipment and software with applications that span the entire semiconductor manufacturing process, from wafer manufacturing through front-end process control and advanced packaging. Clearly, 2019 was a busy year for us and we have been intensely focused on integrating the two companies, a task made easier by the strong complementary product lines, a common pool of technical expertise focused on optics and software, and shared business/cultural values. At three months in, we are very pleased to see strong leaders and teams already emerging.

As for 2020, we believe 5G is poised to drive a new multiyear, multifaceted cycle of growth. It will go well beyond a refresh of the smartphone market, although, with their high chip count, 5G smartphones are likely to dominate growth in the shorter term. Major manufacturers have highlighted 5G drivers in their guidance. This means more 3D NAND and DRAM memory, advanced node logic and more wafer-level-packaging, fan-out packaging and systems-in-packages. Of course, the additional complexity for these devices drives the need for increased process control equipment and software to measure and inspect sub-10nm wafers in the fab and advanced packages in the back-end.

With more smartphones, tablets and wearables, 5G will be a tremendous data generator, which will likely continue data center growth and the demand for advanced processors, advanced memory and data storage. Then there are the artificial intelligence engines that will be trying to monetize all that data. 5G is also likely to ignite growth in high speed IoT devices, and this will go far beyond the consumer IoT we have seen to date. For instance, there are good prospects for strong growth in industrial IoT applications driven by smart manufacturing technologies. So, it is easy to see how 5G could start an ongoing cycle of growth that could drive the industry for the next several years. The timing, ramp, and magnitude are still uncertain, but the overall outlook is very promising. 

An intriguing final anecdote may serve to highlight an emerging application and illustrate the synergies we are discovering through our merger. Advanced sub-10nm nodes need higher quality bare wafers. We saw this at Rudolph with increased demand for our bare wafer edge inspection tool. At the same time Nanometrics was seeing record-level demand from exactly the same customers for a unique FTIR tool. It was not a coincidence, and it’s an opportunity we are now trying to define and address together. It may be that leveraging the two hardware technologies with software to integrate the data streams will offer substantially more value to these customers. I have no doubt there are other synergies waiting to be found.

Heterogeneous Integration and Photonics Take Center Stage in 2020

Markus Wimplinger, Corporate Technology Development and IP Director, EVG

Markus Wimplinger

Following a market contraction across much of the semiconductor industry in 2019, the industry as a whole is projected to see strong growth in 2020, with high-volume applications such as logic and memory driving overall market demand, fueled by cloud services such as storage, compute and gaming. High-performance applications will increase market growth for 3D integration processes. To allow for the full benefit of integrated 3D systems and more importantly to segment individual functionality within packaged systems (such as analog, digital, optical sensing and storage), fusion and hybrid wafer bonding will be key enabling process technologies for volume manufacturing.

Another strong growth market in 2020 will be advanced packaging and heterogeneous integration. With fan-out wafer-level packaging having already initiated a first market pull and raising capacity especially in high-performance mobile processors, the trend to closely integrate memory and logic via advanced packaging will sustain continued market growth. To support greater flexibility for varying designs, increase performance and lower the system design cost, innovations in process technology will be required. Lithography in particular is demanding greater flexibility in terms of adoption of local alignment variations and non-linear shrinkage, to name a few requirements. At the same time, interconnect bandwidth demands denser lines and spaces. These challenges in back-end lithography triggered the development of our MLE (maskless exposure) technology to meet critical requirements of design flexibility and minimal development cycles in the high-volume-manufacturing world by eliminating mask-related difficulties and costs.

Lastly, optical sensing and photonic devices continue to grow as their use in consumer devices increases considerably. This growth is supported by the adoption of wafer-level manufacturing processes such as lens molding and nanoimprint lithography, which facilitate the mass production and miniaturization of micro optical elements for consumer sensors, such as time of flight, 3D optical sensors and advanced image sensors as well as diffractive optical elements for tailored beam splitting and planar wave guide fabrication used in augmented and mixed reality applications.

Overall, disruptive technologies such as these require close collaboration between the device manufacturer and process supplier. In the case of nanoimprint lithography, we established the NILPhotonics Competence Center as an innovation incubator to enable the development of next-generation photonic devices. Furthermore, for industry drivers, such as heterogeneous integration, having an open service lab for innovative processes like wafer bonding and lithography can accelerate the integration of these disruptive processes to enable new devices. 

Smart Manufacturing in the Sub-fab – a Tipping Point?

Troy Metcalf, President Semiconductor Service, Edwards Vacuum

Troy Metcalf

As we begin 2020, semiconductor manufacturers are embracing smart manufacturing through programs like SEMI’s Smart Manufacturing Initiative. Many device and equipment manufacturers have started their own programs to implement the concepts of smart manufacturing in their operations. At Edwards we have made valuable progress with, for instance, the use of digital twins – virtual recreations of products and processes – in predictive maintenance algorithms that improve predictability and efficiency in our own and our customers’ operations. As a result, there is a growing appreciation of the importance of the sub-fab in the overall manufacturing process and of the opportunities that exist there to realize the benefits of smart manufacturing. In some ways the sub-fab is an ideal environment to innovate smart technologies (new sensors, new edge computing techniques, lower risks to data security, human/machine interaction) and success there can only help to drive similar practices into the fab and throughout the supply chain.

It is still early stages and smart manufacturing means different things to different people. Many emphasize the technology: connectivity, sensorization, edge computing, data communications and storage, analytics, artificial intelligence, machine learning, and more. But a critical lesson learned in our work with customers and partners is the importance of a holistic approach that pays equal attention to technology, process, and people.  At Edwards, we call this Operational Excellence – a continuous cycle of improvement from product design through manufacturing, remanufacturing and ongoing support that listens always to the voice of the customer.

Smart Manufacturing technologies provide a better-connected world: products (equipment) become better connected to the people and processes that govern their use and maintenance. But smart manufacturing is about much more than faster connections and better analytics. It is about understanding those connections and the interactions they permit among products, processes and people. This domain knowledge is essential to achieve repeatable, consistent performance from people, products and processes; to reduce risk and uncertainty; and to provide the stable environment that helps innovation thrive. In the sub-fab, where small changes to the operating environment can cause significant changes in equipment behaviour, expert domain knowledge is vital to understanding and then predicting those changes and to maintaining safety in a hazardous environment.

2020 may well be the tipping point, when smart manufacturing will propagate through the sub-fab and beyond. In our own efforts, we are seeing already the development of connections that knit people, products, and processes together to reduce risk and improve predictability; the sharing of data, securely, between sub-fab and cleanroom, and throughout the supply chain; improved safety as smart manufacturing practices encourage safe behaviour and longer planning horizons reduce the need for haste and the likelihood of mistakes; a more effective circular economy with better product design, supply chain planning, and parts reuse; and collaborative sub-fab partnerships and edge computing methods that generate new domain knowledge and actionable insight. We expect to see much more as adoption accelerates across the industry.

2020 Outlook: Meeting the Goal for Zero Waste Manufacturing

David Wang, CEO of ACM Research

David Wang

With most of the semiconductor industry distracted by the market decline and the uncertain geopolitical situation, many may not be aware of the growing concern about what to do with the excess waste generated by the semiconductor manufacturing process. Particularly in Taiwan, where the most advanced wafer processing takes place, waste treatment is a big problem, with acids now representing half of the semiconductor plant waste stream.

To combat this, there has been an industrywide push for semiconductor manufacturers to be more environmentally aware. Top tier fabs and integrated device manufacturers (IDMs) have established regulatory requirements and set internal targets to decrease the amount of sulfuric acid (H2SO4) waste produced during wafer cleans. In fact, nearly all of the world’s top tier semiconductor manufacturers and foundries have published aggressive targets for 2020 and beyond, citing between 90 and 95 percent recycling of solid waste, and near-zero hazardous chemical waste to landfills. It is now up to suppliers to come up with the solutions to solve this.

Achieving these targets is a challenge because, as feature sizes continue to shrink, wafer cleaning is more critical than ever to the manufacturing process. The choice is between SPM wet bench and plasma dry cleaning. SPM wet bench is used 90% of the time, as it is more effective than dry processes in achieving surface cleanliness and smoothness.

However, SPM wet bench tools cannot meet the cleaning specifications of advanced nodes less than 28nm, so many manufacturers have turned to single-wafer, high temperature SPM cleaners, which consume higher concentrations of SPM, thereby creating more waste than wet bench approaches.

One solution to this challenge is our Ultra-C Tahoe Cleaning System that combines wet bench and single spray to provide the advantages of both approaches in one hybrid system. In the single chamber module, optional ACM patented megasonic cleaning technology additions, including the space alternative phase shift (SAPS) and timely energized bubble oscillation (TEBO), ensure damage-free wafer cleaning. The Tahoe’s cleaning performance and flexibility rivals that of single wafer systems, while producing considerably less H2SO4 waste than SPM single-spray tools.

Our goal for 2020 is to bring more awareness to the global efforts for reducing H2SO4 waste, while offering practical solutions to fabs worldwide.

Packaging Solutions Will Be Key Enabler in 2020

Ken Molitor, Chief Operating Officer, Quik-Pak

Ken Molitor

Demand for packaging solutions will continue to increase in the year ahead, and for the foreseeable future. Market-research firm Mordor Intelligence projects that the value of the semiconductor packaging market, which totaled $28.2 billion in 2019, will grow at a compound annual rate of 7.96% between 2020 and 2025 to reach $44.44 billion by 2025.

Several factors will contribute to this growth. RF demand, in particular, will skyrocket – customers around the world will need its capabilities with the 5G infrastructure finally ramping up. Despite delays caused by the China tariffs (with Huawei being a key enabler of 5G equipment), the consensus is that 2020 will be the year of 5G. We see RF-optimized packaging products and processes as essential to helping enable this ramp-up as customers’ time-to-market requirements become even more critical.

In addition to RF, MEMS, sensors and power are all markets that are ideally suited to benefit from solutions such as quad flat no-lead (QFN) packaging – currently one of the most popular semiconductor packages due to its key benefits, which include low cost, small form factor and solid electrical and thermal performance. The air-cavity QFN that Quik-Pak offers is a cheaper alternative than traditional ceramic packaging and can be provided quickly in small to medium volumes. While ceramic packaging would typically still be required for very high frequencies, the QFN is a proven solution and an ideal option for applications requiring RF performance to 40+ gigahertz (GHz).

Related areas will see continued growth, as well. As silicon becomes more complex, so does packaging, thereby amplifying the need for custom-designed substrate products. By providing turnkey custom substrate-based assemblies – from design to fabrication to packaging – we can accommodate customers’ unique and complex packaging requirements in very short order. On top of this mounting demand, more customers are also seeking wafer-preparation services (e.g., wafer thinning, dicing, sorting and inspection) to meet growing wafer fab output. In short, the year ahead looks to be a strong one for packaging and for the industry as a whole.

2020: An Impressive Upswing

Dr. Ken MacWilliams, CEO of YES (Yield Engineering Systems)

Ken MacWilliams

After the 2019 downturn, I believe in 2020 we will see an impressive upswing in the market given the growing demand for new applications like 5G, IoT, and autonomous vehicles. The reality is that advanced packaging is at the heart of these new applications, enabling the extension of Moore’s law, which in turn benefits everything from the performance of a data center right down to the form, fit, function of a very small IoT devices.

2020 will also be the year we see panel packaging become a reality — something that the industry has talked about for the last five to 10 years — with a number of big companies such as Intel and Samsung discussing their work on this front. The biggest improvements in semiconductor performance – lower cost, smaller volume, higher speeds — will be driven by packaging, which will in turn emerge as the star of the show.

For example, in the emerging autonomous vehicle market, reliability and quality are not simply a nice-to-have but a matter of personal safety. The good news is that innovations happening in advanced packaging will help leading auto manufacturers advance autonomous vehicle application development faster and more cost-effectively–without impacting quality or risking reliability.

Exit mobile version