Harsh New Processes and Materials Pose Challenges for Vacuum Systems

ALAN BRIGHTMAN, Sr. Product Manager, Edwards, Burgess Hill, U.K.

The ever-expanding variety of materials used to manufacture semiconductors as the industry moves beyond simple two-dimensional scaling to more complex three-dimensional integration schemes poses unique vacuum and abatement challenges. Device manufacturers must balance a complicated cost equation that includes capital expenditures, for new equipment, and operating costs, such as power consumption and maintenance, while at the same time, avoiding the risk of equipment failures that can cause product losses and unplanned downtime. Equipment suppliers must design systems that minimize cost and also balance trade-offs among competing process demands. For example, condensation and corrosion in vacuum systems both increase power consumption and maintenance costs, but they respond oppositely to simple variables like pump temperature that might otherwise be used to mitigate their effects. Addressing such challenges requires innovative solutions that rely foremost on an intimate understanding of the processes and materials.

Energy consumption

Energy costs are a critical consideration in semiconductor manufacturing, typically contributing 5 to 30 percent of fab operating expenses. Wafer processing tools, and the vacuum and abatement systems that support them, account for 30-40% of those energy costs. To maintain critical process parameters and a safety margin against costly downtime, wafer processing support systems are often oversized and operate inefficiently above the specified requirements. This inefficiency is compounded when corrosion and condensation on pump surfaces increase power consumption (Figure 1).

Figure 1. Harsher processes require more power to maintain process vacuum.

Energy costs become even more significant during times of reduced production, which occur periodically during semiconductor industry business cycles. Energy use does not decrease proportionately with production volume. A certain level of expenditure is required just to maintain fab operating conditions during down cycles, and this fixed minimum constitutes an increased share of overall costs at reduced manufacturing volumes. Environmental concerns and initiatives to reduce power consumption, often government mandated, provide additional motivation to improve energy efficiency.

Harsh environments – new processes and materials

New processes and materials are introducing new challenges. For example, the range of CVD precursor materials and reaction by-products prone to condense in process chamber vacuum systems is expanding (table 1). Condensable materials include ammonium chlorides, ammonium fluorides, hafnium chlorides, sulphur-based compounds, liquid precursors, and others.

Process Condensable vapor
LPCVD Silicon Nitride, ALD Silicon Nitride Ammonium Chloride (NH4Cl) – off white solid
ALD Titanium Nitride (using TiCl4) Ammonium Chloride (NH4Cl) – off white solid
TiCl4.NH3 adduct – Yellow solid
PECVD silicon nitride with fluorine-based chamber clean Ammonium hexafluorosilicate ((NH4)2SiF6) condenses – off white solid
Aluminum etch   Aluminum chloride (AlCl3) condenses –off white solid, but often greenish and moist from moisture adsorption.

Table 1. Examples of harsh processes that use condensable gases and by-products

To some extent, the tendency for materials to condense in most vacuum systems can be reduced by diluting the exhaust with inert gas, as is done to control flammability. Dilution, however, has its own costs, including the cost of the diluting gas and the requirement for increased pumping and abatement capacity to handle larger exhaust volumes. An alternative strategy is needed to control condensation of liquids and solids in the process exhaust stream.

The major risk associated with condensation is blockage of the exhaust flow and process interruption caused by excessive exhaust pressure or a breach of seal integrity. To counter the condensation threat and improve system safety and productivity, vacuum systems must be able to operate at elevated temperatures.

Avoiding condensation requires a clear understanding of the CVD precursor and by-product condensation points. With this information, engineers can design systems that minimize downtime and reduce health and safety risks to operating and service personnel.

CVD precursors and associated by-products can also introduce corrosion challenges. Conditions that reduce condensation may promote corrosion, and vice versa. Developing solutions to manage these competing needs is becoming ever more challenging, particularly when operating cost is also of concern.

Condensation

The temperature and pressure determine the phase of a material. A phase diagram (Figure 2) plots the temperature and pressure at which phase transitions occur. The diagram is different for every material. Generally, at higher pressures the transition occurs at higher temperatures, at lower pressures, lower temperatures.

Figure 2. A phase diagram plots the temperature and pressure where phase transitions occur.

Condensation on surfaces in a vacuum pump increases friction and power consumption. If allowed to progress, it can cause the pump to seize, resulting in product losses and unplanned downtime. In pipes, condensation reduces flow and increases pressure. If allowed to progress, deposits can block flow entirely and increased pressure can rupture seals, potentially releasing toxic or flammable gases (Figure 3). Deposits tend to localize in places where pressures are higher or temperatures lower (Figure 4).

Figure 3. Material deposited from condensable vapors can clog pipes and seize pumps.
Figure 4. Condensation occurs locally where temperatures are low or pressures high.

Higher pressures and lower temperatures promote deposition. It is local temperature or pressure that is important, not average, and deposits tend to localize at places where appropriate conditions exist. Reducing pressure or increasing temperature decreases condensation. Temperature control systems in vacuum pumps maintain a temperature profile that increases from stage to stage as pressure increases from inlet to exhaust. Thermal control systems for pipes must also maintain critical temperature profiles and avoid cold spots at bends and junctions.

Purging is widely used to reduce the concentration of flammable gases below their lower flammability limits. Additionally, the controlled introduction of an appropriate purge gas, usually nitrogen, can be effectively used to manage by-product condensation. This, of course, requires a thorough understanding of the total pressure and partial pressures of the application by-products. Purge systems can also be designed to maximize local effect at critical points. For example, introducing the purge gas into the stator bore at the shaft shoulder space excludes process gases and by-products from the critical space and protects the rotor shaft.

Running at higher temperatures can prevent condensation. It can also assist in the desorption of adsorbed material on pump surfaces, which can be important in processes like atomic layer deposition that require rapid changeover between different precursors. However, elevated temperatures can be hard on pump seals and may increase precursor decomposition or reaction rates. Most importantly, higher temperatures promote corrosion.

Corrosion

Like condensation, corrosion can degrade vacuum performance and lead to mechanical failure. Since higher temperatures are needed to reduce condensation, some other approach is required to combat corrosion. Surface coatings provide an alternative; however, attempts to simply repurpose coating technologies used in other industries have not proven effective. The film structure of the coating is critical. General industrial methods often create micro-crystalline film structures that have leak paths to the substrate. Uniformly thick, continuous, highly conformal films are critical to success. Films must be free of contaminants and defects which can create leak paths. Managing film stress is also critical for reliable adhesion and should be compressive as applied.

Figure 5 shows a disassembled experimental pump that contained some rotors that had been specially coated and some that were not. The differences in corrosion between the two types is apparent. Figure 6 shows data taken from five pumps used in an extended trial to pump corrosive process gases. Two of the pumps contained uncoated parts; three had coated parts. The figure plots pump motor current over time, a widely used indicator of pump condition. The two untreated pumps were removed from service with excessive motor current levels after 35 and 50 days. The three treated pumps showed stable motor current levels over the trial period, indicating an absence of corrosion. At the time of the investigation, two of those pumps had remained in service for more than 460 days.

Figure 5. These pumps contain both treated and untreated parts. The treated parts clearly show better resistance to corrosion.

Improving energy efficiency is a primary consideration in cost of ownership. Preventing condensation and corrosion eliminates the increased energy consumption that occurs as the effects of these processes accumulate. Other steps taken to improve energy efficiency include using high efficiency motors; optimizing the size of the exhaust stages; reducing bearing, gear and seal losses; and increasing the number of stages to permit smaller pressure differentials between them.

Conclusion

Many new processes and materials create significant challenges for vacuum systems. In some of these processes, condensation and corrosion increase the power consumption of the vacuum pump and threaten its reliability. A vacuum system designed for harsh processes that use condensable, corrosive gases must be able to maintain an elevated temperature profile within the pump to prevent condensation. Pump surfaces exposed to the gases must be treated to resist corrosion, which is accelerated at higher temperatures. The system should have a progressive purge capability using preheated purge gas and designed specifically to protect critical vulnerable locations like the rotor shaft. Systems that include these capabilities have demonstrated lower energy consumption, reduced maintenance requirements, and greatly extended lifetimes. The days of one pump does it all, when the solution to most vacuum challenges was to get a bigger pump, are gone. Maximizing the productivity and profitability of the semiconductor manufacturing process requires pump designs that are optimized for the application, especially harsh applications that use condensable or corrosive gases. The return on investments in specialized vacuum systems – improved productivity and reliability, reduced maintenance, longer life, and less risk of product loss and unplanned process downtime – more than justifies their use.

Editor’s Note: This article was originally published in the November/December 2019 issue of Semiconductor Digest.

Exit mobile version