Outlook for 2021: Executive Viewpoints

Each year, Semiconductor Digest turns to industry leaders and analysts to get their viewpoints on what they expect to see in the coming year in terms of critical tech and business trends. For 2021, look for continued advances in AI, quantum computing, 5G, IoT and automotive, which will create new challenges for semiconductor EDA, manufacturing, inspection and packaging.

The Digital Workplace May Be on the Horizon and the Races to Create Future Technologies Will Pick Up Speed

Emmanuel Sabonnadière

Emmanuel Sabonnadière, CEO, CEA-Leti

The transition to a digital workplace that began in response to the coronavirus pandemic will only expand in coming years. Digital technology has shown its full potential to both simplify and amplify communication in science, business and government via video calls, webinars and virtual events. Thankfully, it looks like vaccines will start to become available beginning in 2021, and this will allow a return to some semblance of normalcy in the workplace. Overall, we probably gained three to five years in terms of the adoption of and migration to this new normal in 2020. 

Although the global health crisis has shaken the world in the short term, major scientific, technological and societal issues will restart as before in the medium and long term. For example, we expect quantum electronics, power-conversion devices, Edge AI (artificial intelligence) and green tech to be among the most vital – and competitive – R&D fields in microelectronics. The race to build quantum computers will continue to accelerate across four primary lanes: superconductors, photonics, trapped ions and electron spin within semiconductors. There is good reason to think that the semiconductor-based and silicon-based approach is a leading candidate to meet three critical criteria for quantum machines: it is effective on a fundamental level, manufacturable using familiar processes and materials, and able to be integrated with classical computing systems.

2021 will most certainly see an acceleration of the already-explosive growth in the number of connected objects, e.g. through the Internet of Things, which will turn into Intelligence of Things. This phenomenon will require new technologies to run Edge AI computation with very low energy consumption. We strongly believe in the short-term emergence of Edge AI, supported by neuro-inspired architectures and in-memory computing, which is a disruptive concept. Ultralow energy consumption is the most challenging part of the technologies to be developed.

Along these lines, green tech will continue to shape R&D programs, because lowering power consumption in electronic machines and devices is an urgent necessity for the 4 percent of consumption it represents for the planet. CEA-Leti will continue to develop advanced technologies with a strong direction toward energy efficiency and a small carbon footprint.

The human and financial resources needed to solve such big challenges will require collaboration between diverse, public-and-private research teams with new and complementary expertise. In Europe, that means CEA-Leti, imec and Fraunhofer will strengthen an alliance they launched four years ago. Looking beyond Europe, CEA-Leti has many joint labs with companies and academic researchers in the U.S. and Asia, who benefit from the deep-and-broad expertise of the Grenoble ecosystem. This ecosystem, which has been active for 50 years in microelectronics, welcomes all the new opportunities to innovate with companies from around the world. The Grenoble region has an enviable concentration of diverse R&D labs, dynamic manufacturing companies, higher-education institutions, and deep-tech startups, all of which welcome the opportunity to collaborate to create solutions to the technological, scientific and health-care challenges and opportunities. CEA-Leti’s collaborations include microelectronics labs with STMicroelectronics, Soitec and Applied Materials, work on imagers and infrared with Lynred, Thales and Trixell, and a strong focus on microLEDs and displays with Aledia. All of these collaborations are the key ingredients of More-than-Moore.

In the New Year, CEA-Leti will continue to focus on all the key technologies such as embedded AI, quantum electronics, Lidar, future wireless-communication technologies, medical devices and sensors for extended reality to build our new digital world based on high tech for better, safer and healthier societies.

2021 will be the Year of Magical Thinking

Bob Smith

Bob Smith, Executive Director, ESD Alliance, a SEMI Technology Community

2021 could be the year of magical thinking, bringing far more creativity and innovation into the semiconductor industry ecosystem. While technological innovation is a hallmark of the industry and will continue to be, expect to see new networking opportunities and a well-considered assessment of technical challenges that could benefit from standard protocols. An industry-wide consortium has the ability to bring companies together to cooperatively address problems and deliver solutions that benefit the entire ecosystem. SEMI, for example, created over the years more than 1,000 industry-approved standards and guidelines for the semiconductor industry.

Global advocacy has never been more important and will take on greater urgency in 2021. The same is true for workforce development efforts to sustain the heath of the ecosystem by cultivating more qualified talent to participate in the industry while simultaneously bringing more equity, diversity and inclusiveness. Credit science, technology, engineering and math (STEM) programs for nurturing an interest and, perhaps, passion for our industry. Our industry steps up as well with development programs for middle school, high school and university students. It doesn’t stop there as new initiatives are unveiled for mentoring and retaining a diverse, innovative and skilled workforce.

2020 robbed the semiconductor ecosystem of much-valued, in-person networking events. As we worked through the year, we experimented with a variety of on-line events, all with varying degrees of success to try to recreate the same or similar experience. It has been a learning experience and we are still on a sharp learning curve.

As we roll into 2021, new forms of networking, connecting and continuing education will emerge with industry organizations leading the way. While the urgent need for personal connections and in-person learning continues unabated, next year’s offerings may need to be a tapestry of in-person, virtual or hybrid events. Nonetheless, semiconductor industry organizations are leading the way by stepping into the void to foster creative ideas from members, announcing new initiatives and developing original programs.

Cautious Optimism in 2021

Lucio Lanza

Dr. Lucio Lanza, Managing Director, Lanza techVentures

The end of 2020 and the arrival of 2021 is bringing cautious optimism to the semiconductor industry.

Unforeseen and compulsory work-from-home policies in 2020 created the need for easy, remote communications, along with enormous market opportunities. Simultaneously, new communities of designers are working on new generations of low-level, minimal-cost designs using open source implementation tools because a chip today should be designed quickly, cheaply and boldly by anyone with a natural ability.

IoT is driving the changing dynamics that will benefit the semiconductor industry enormously by bringing millions of chiplet designs to market. The successful designs will, in turn, become thousands of new, sophisticated chips optimized for millions of units. This is exactly what happened with semi-custom design in the 1980s, a time when semiconductors were designed all the way through manufacturing. The advent of semi-custom design helped explode the market and, contrary to fears from detractors, did not obliterate custom design whatsoever. 

The intersection of semiconductors and medical is another application area about to take off, leveraging the power and performance of chips with AI and ML capabilities. Computing power will be different from today’s computers that are arithmetic logic units made larger and faster. Fundamentally, it’s the same processor as always.

New computing engines will be more sophisticated and far more efficient at computing and calculation. Automated deep learning with a specialized processing and expansive memory is improving constantly and the best computer designed today will design future computers. This is the next step of the industrial revolution.

While automated AI may be five to 20 years away, it’s an area worth watching now because it will impact society driven by unlimited computing power and generate a huge strategic advantage to its users. Medical information, for example, will be reevaluated and improved as a future generation of chips will design itself automatically. It will know which processes are available and be able to deliver a prototype as it keeps learning.

2021 is a year to reflect on the semiconductor industry’s innovation. It’s an important market segment that has had an impact on the world. In fact, it changed the world.

Home Office Equipment Upgrades in 2021

Amin Shokrollahi

Dr. Amin Shokrollahi, CEO and Founder, Kandou, Lausanne, Switzerland

Work from home will be a mainstay of corporate life in 2021, and with it, a recognition that much of the home office equipment needs an upgrade. Outdated computer equipment, slow bandwidth connections, security breaches and other challenges in 2020 plagued IT departments of most semiconductor companies trying to adapt to WFH. But it wasn’t only the corporate side that posed problems. Home equipment was often not designed to be used in a professional setting. Not everyone had the equipment for seamless teleconferencing.

Throughout 2021, a showcase of technological advances from the semiconductor industry will address many of these challenges. Market introduction of new protocols like USB4 and new chips supporting these new protocols will increase the bandwidth between communicating devices and lead to a much better user experience in home computing. We will see home computing devices that can support very high-resolution monitors, while simultaneously allowing very fast access to external solid state drives. High-end teleconferencing will not be only the domain of large desktops but can be done with smaller mobile devices like laptops connected to high-end monitors. Software solutions for echo cancellation and gaze correction paired with higher resolution cameras will reduce the gap between teleconferencing and face-to-face meetings.  

High bandwidth solutions like USB4 and associated chips will also enable higher productivity on home computers due to the large bandwidth between devices. This will probably be of highest benefit to the film and graphics industries, but scientific computing will not lag far behind.  In 2021 and beyond, we will see software making full use of the increased bandwidth, and a rush to upgrade devices in order to benefit from the new software. The demarcation line between Work and Home will blur even more than in the past. While this is often not good news for family life, we believe that the new situation may lead to more innovation made out of the comfort of one’s living room.

Hardware-Assisted Verification Assumes Foundational Role in Verification Strategies

Jean-Marie Brunet

Jean-Marie Brunet, Senior Marketing Director for the Mentor Emulation Division, Mentor, a Siemens Business

Existing and emerging markets for complex SoCs continue to grow as they find their way into a host of application areas. These areas include AI/ML, automotive, CPU/GPU, HPC and data centers, networking and communications—including 5G, SaaS, and edge and core cloud structures.

From all indications, 2021 will see SoC functional verification keeping pace to support project teams working in these fast-moving applications areas. One part of the functional verification technology set gaining traction at a much faster rate than others is hardware-assisted verification. After years of proving its worth, it now sits at the foundation of most functional verification strategies, and is a tool set of choice for complex designs.

One challenge driving the trend to increased use of hardware-assisted verification is the discrepancy between estimated pre-silicon dynamic power consumption in the SoC and actual power dissipated by the manufactured SoC. Recently, engineers noticed that when newly designed SoCs were plugged into end-product sockets, the actual dynamic power consumption exceeded the estimated power by an order of magnitude. It has become critical to accurately predict real power consumption while designing and verifying new designs.

Today, the electronics industry makes heavy use of benchmarks and software workloads to gauge performance and power consumption with different industry segments using different types of benchmarks and software. Most important, it is critical to run benchmarks and software workloads in pre-silicon verification. The full design visibility that hardware-assisted verification tools allow help identify areas of excessive power consumption long before silicon is manufactured, and lets designs be corrected.

Another challenge looks at making the most of the investment in the entire scope of hardware-assisted verification tools. Here, the concept of using “the right tool for the right task” becomes important. Much credit for this concept goes to the adoption of the shift-left verification methodologies, and the virtualization of a test environment. These two concepts offer the ability to accelerate hardware verification and embedded software validation for multi-billion gate designs at all levels of abstraction — from virtual platforms to desktop FPGA prototyping and everything in between.

2021 portends even greater use of hardware-assisted verification technologies. In one potential scenario, a verification team’s dream becomes reality with a seamless integration between individual hardware-assisted verification tools. This single robust environment couples tool intelligence with engineering best practices to make the verification task faster and smarter. It will make efficient use of all verification resources and streamline the efforts and collaboration of multiple teams.

Look Out for Curves Ahead in the Photomask Market

Aki Fujimura

Aki Fujimura, CEO, D2S

The photomask market is consistently adapting and innovating to keep pace with the rest of the semiconductor supply chain. As a result, while COVID-19 introduced challenges to global markets overall, sentiment among key decision makers within the photomask market segment remains neutral or positive about growth in 2021 according to the ninth annual eBeam Initiative Luminaries survey, completed in July 2020. Most respondents to the survey also predict that some leading-edge masks will have at least some curvilinear shapes on them by 2023.

In semiconductor manufacturing, the move to EUV for critical layers of leading-edge nodes, the proliferation of multi-beam mask writers, and the emergence of curvilinear mask shapes provide a lot of excitement and opportunities for the year ahead. Multi-beam mask writing has been in production use for a few years now. EUV for wafer lithography has entered production use and is seeing a high rate of innovation throughout the manufacturing process. In 2021, we should start to see at least some experimentation with curvilinear mask shapes being produced in mask shops.

Curvilinear mask shapes are desirable for improving resilience to manufacturing variation in wafer production. However, prior to production availability of multi-beam mask writing, it wasn’t practical to write curvilinear mask shapes with the traditional variable-shaped beam (VSB) writers. Both Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT), techniques that compute the desired mask shapes in order to maximize the quality of wafer lithography, needed to constrain themselves to specifying Manhattan shapes to be produced on the photomask. With multi-beam mask writers removing this constraint, and with ever increasing demand for precision on the wafer to improve process windows, curvilinear mask shapes are desirable for both 193i lithography and EUV lithography. Some unique aspects of reflective masks in EUV add to the need for curvilinear shapes on EUV masks. In addition, for other reasons, EUV masks need to be written on multi-beam mask writers anyway, so there is no barrier to using curvilinear shapes.

With the write-time barrier solved thanks to multi-beam writers, defining and manipulating curvilinear mask shapes now becomes an opportunity for ILT. Until recently, ILT faced the problem of long runtimes. Fortunately, the incredible advances in graphic-processing units (GPUs) solves this problem. The latest NVIDIA “Ampere” series of processors provide around 20 TFLOPS (tera-floating operations per second) with 7,000 to 10,000 cores using 7-nm full-reticle designs. Just as multi-beam writing using pixels makes mask writing speed independent of shape complexity, GPU-based algorithms are pixel-based, making compute time independent of shape complexity. D2S has announced its TrueMask® ILT designed specifically to take advantage of the power of GPUs to make full-chip curvilinear ILT practical. 

2021 is the year photomasks are going to start to be curvilinear. While this will bring immediate benefits in the manufacturing world, it also presents long-term opportunities for the design world.

Life in the Data Era

Oreste Donzella

Oreste Donzella, EVP, Electronics, Packaging and Components Group; KLA Corporation

We undoubtedly live in the data era – a digital transformation that has been further accelerated by the COVID-19 pandemic. The creation, storage, analysis, and transmission of data has become crucial to the advancement in mobile communication, data centers, automotive, and more recently healthcare. Accelerated by secular trends, like 5G networking and artificial intelligence, these markets will drive unprecedented growth of the semiconductor industry in the 12 months ahead and likely for several years to come.

In 2020, we saw strong demand across each of KLA’s business segments (Semiconductor Process Control, Global Support and Services, and Electronics, Packaging and Components or EPC), reflecting the role KLA plays in enabling our customers to meet manufacturing requirements and support R&D efforts for their next-generation technologies.

As digital technology continues to change the way we live and work, subsequently modifying the way businesses operate and deliver value to customers, we anticipate that demand drivers – including high performance computing, artificial intelligence and accelerated migration to the cloud from on-premise applications — will continue to skyrocket in 2021. This transformation will result in increased investments and innovation in advanced memory and logic device technologies, where process control will remain a critical enabler for increasing both yield and quality. Similarly, we see an increasing focus on advancing packaging roadmap with the introduction of new complex multi-die heterogeneous integration 2.5D and 3D architectures.

Additionally, the expansion of 5G communication infrastructure and the shift to 5G smartphones will create increased demand for specialty semiconductor device, such as RF and introduce new packaging and printed circuit board manufacturing innovations.

As our industry collectively works to support this digitization, our businesses will also need to transform. Namely, the widespread adoption of new productivity tools to improve collaboration amongst global teams and customers, such as remote service technologies and cloud-based platforms, will be key to success moving forward.

2021 is going to be another year of growth for the semiconductor industry and KLA looks forward to continuing to enable our customers’ technology roadmaps and success in the new data era.

Gradually Clearing Skies Likely with Some Silver Linings Persisting

Kate Wilson

Kate Wilson, President, Edwards Vacuum

2020 has been a challenging, but good year for semiconductor manufacturing, and most forecasts predict continuing strength for the industry in 2021. Edwards has shared in that success. Some obvious sources of uncertainty remain, among them the pandemic and ongoing trade tensions. However, early results from vaccine trials and changes in the political landscape bode well in both cases.  Through all of this our priority at Edwards remains to meet the needs of our customers, employees, and communities, ensuring their health and safety, and maintaining the connections among them that are vital to our success.

There have been benefits from some of the changes forced by the pandemic. Well before the pandemic hit we have had a strategy to leverage our global expertise through local resources, which led us to position skilled personnel and critical tools close to our customers around the world. As a result, pandemic-related restrictions on international travel had only minor impacts on our support capability. Enhanced connectivity to centrally located technical resources allowed local personnel to successfully address even the most challenging problems. It seems obvious now that an integrated combination local and remote support will almost always be faster than putting someone on an airplane, but It took a pandemic to really prove it. We will continue to invest in this strategy going forward to provide the highest level of response to our customers.

Other trends that feature prominently in our plans for 2021 include:

It has been an unprecedented year, but we have proved our resilience and adaptability through it and will come out of it stronger to meet the new challenges of the coming year.

Crossing the Chasm with Silicon Carbide

Leon Gross

Leon Gross, Vice President, Discrete Products, Microchip Technology Inc.

During 2020’s additional reflection time I have realized that I would put the excitement of a career in the semiconductor industry up against any other in the technology sector. The mind-boggling speed of progress is governed only by human innovation and Moore’s Law. Consider low-voltage MOSFETs: In the last 35 years technology nodes have shrunk by three orders of magnitude as 1985’s 1-micron process blazed the trail for 2020’s 5-nanometer process.

Over that same 35 years, the power semiconductor industry will have seen not one, but two major disruptions. In the mid-1980s, the silicon IGBT exploded into the preeminent workhorse enabling high-voltage power conversion worldwide, with a yearly market size expected to reach $10 billion in the next few years. While the IGBT will remain the choice for many applications, the next paradigm shift for high-voltage power electronics design belongs to the silicon carbide (SiC) MOSFET.

The recurring message from our diverse clients is they are reaching—or have already met—the limitations of silicon IGBT performance. Designers of datacenter power supplies tell us the only way to enable next-generation form factors is with SiC. Automotive OEMs tell us their cars can only attain the elusive 300-mile range target using a SiC power train. Solar inverter manufacturers tell us SiC is critical for reducing their overall system cost. Metro and subway car designers need SiC to shrink auxiliary power units and make more room for paying passengers. Even medical equipment, from MRIs to portable defibrillators, stands to benefit from size reductions that are only possible with SiC. While the IGBT has served us admirably and will no doubt continue to thrive, the path to progress for multiple global megatrends goes through SiC.

As it was with the IGBT, best practices for designing with SiC will take time to become common knowledge. It will be a joint effort between us and our clients as we extend innovative embedded control solutions into the tens and hundreds of kilowatts. Because SiC is currently more expensive than the IGBT and requires substantial redesign effort to maximize its benefits, customers will be looking to us for turnkey, total system solutions spanning control, sensing, memory and protection. Together, this will enable us all to cross the SiC adoption chasm in 2021 and beyond.

Innovation and Creativity in Advanced Packaging Will Drive Growth in 2021

Subodh Kulkarni

Dr. Subodh Kulkarni, President and CEO, CyberOptics Corporation

We expect to see significant increases in demand for high-speed inspection and metrology in advanced packaging applications in the coming year and beyond. Advanced packaging is already in the midst of an exciting growth phase. As scaling chips to smaller dimensions has become more difficult, device manufacturers have shifted their attention to packaging to achieve the benefits of smaller size, faster operation, more memory, lower power, and more. A variety of packaging technologies are being explored, driven primarily by demand in high-end consumer electronics. This has released a tremendous burst of creativity and innovation. Notably, much of the responsibility for implementing these new technologies falls on OSATs who have not traditionally had to manage yield in complex manufacturing processes. The fact that they are dealing with expensive, finished chips and components only increases the cost of yield-loss and adds pressure to mature their processes, ramp their yields and lower their costs quickly.

Many of the features that require inspection and measurement are related to connections among components within the package – bumps, pillars, through silicon vias, and redistribution layers – and are unique to advanced packaging processes. While inspection solutions for these structures do exist, they are expensive and relatively slow. Traditionally, device manufacturers have resorted to statistical strategies that measure only a (presumably) representative sample to draw conclusions about an entire population. However, the high cost of yield loss and the high liability associated with field failures in mission-critical applications, like automotive, have imposed requirements for 100% inspection in many advanced packaging processes.

CyberOptics is uniquely positioned to meet demands for high-speed inspection in advanced packaging applications. Our systems deliver fast, 100% 2D and 3D inspection of advanced packaging structures in a single pass at through-puts up to 25 300mm wafers per hour. Proprietary sensor hardware, software and algorithms, that are really our secret sauce, reject spurious signals from commonly used reflective materials to increase accuracy and repeatability in the results.

In spite of continuing health concerns and trade tensions, 2020 has been a good year for our industry, and we expect 2021 to remain strong.

Heterogeneous Integration: The Engine for Future Semiconductor Innovation

Thomas Uhrmann

Dr. Thomas Uhrmann, Director of Business Development, EV Group

As traditional scaling approaches its cost limits, advanced packaging has become a key enabler of continued advances in device bandwidth, performance, power consumption and miniaturization. Mobile processors triggered the first growth cycle in 3D integration and heterogeneous integration (HI) within advanced packaging. In the coming year, this growth cycle will continue as high-performance applications such as AI and 5G gain traction in mobile devices, while other megatrends such as autonomous driving, augmented reality and the Internet of Things drive further growth.

HI has fueled myriad packaging options, which demand new manufacturing technologies to support greater system and design flexibility, as well as increased performance and lower system design costs. In back-end lithography, the increased importance of design flexibility and ability to adopt both die- and wafer-level designs at the same time must be addressed due to the need to simultaneously shorten development cycles and cover the wide variety of advanced packaging platforms now available. Traditional mask-based lithography solutions are not practical for many of these applications, especially those requiring fast prototyping and testing of new product designs or highly customized solutions, where the cost and time needed for producing, testing and reworking a large volume of mask sets can quickly add up.

In 3D device stacking, the constant reduction in bonding pad pitches needed to support package roadmaps is fueling tighter wafer-to-wafer bond alignment and overlay specifications with each new product generation. With collective die-to-wafer bonding, alignment accuracy specifications are also getting tighter while extensive wafer bonding know-how is especially crucial. On top of new manufacturing technologies, new methodologies are also needed to bridge the gap between R&D and ramp to manufacturing for products built using HI technology.

The good news is that equipment vendors are stepping up to help fill this gap. A case in point is EV Group’s Heterogeneous Integration Competence Center, which combines our world-class wafer bonding, thin-wafer handling, and lithography products and expertise, as well as pilot-line production facilities and services, supported by our worldwide network of process technology teams. Leveraging our more than 20 years of experience in HI, our Heterogeneous Integration Competence Center serves as an open access innovation incubator – providing a foundation for customers and partners working with EVG to accelerate technology development, minimize risk, and develop new and differentiating 3D/HI solutions and products.

Looking ahead, the road to continued semiconductor innovation has many different paths, thanks in part to the implementation of 3D/HI. Choosing the right path for any given product can be a daunting decision. However, partnering with the right vendor can help make that decision easier, and the road ahead smoother.

Semiconductor Industry Continues to Advance

Sze Pei Lim

Sze Pei Lim, Regional Product Manager for Semiconductor Products, Indium Corporation

At the end of 2019, many forecasts for the upcoming year predicted strong growth for the semiconductor industry. While the rapid spread of the coronavirus briefly threw those forecasts into disarray, we are starting to see signs that the industry will continue to quickly recover and grow into 2021.

Home Focus Drives Semi Sales As the world continues to grapple with the coronavirus, large portions of the population are now working—and learning—from home. These busy households are driving sales of laptop, desktop, and mobile devices, as well as related “gadgets” and gaming devices, to make the transition easier.

The increased focus on remaining at home has also caused a notable surge in the number of households purchasing goods online. The ease of one-click purchasing has caused digital powerhouses to invest in their servers in order to ensure that they can stand up against the growth of online traffic.

High-Performance Computer (HPC), Artificial Intelligence (AI), 5G, Autonomous Vehicles Continue to Drive Innovation Advancements in high-performance computers (HPC) and artificial intelligence (AI) have played a critical role in the events of 2020, as they’ve been fundamental for e-medical applications, contact tracing, and COVID-19 vaccine development. Looking beyond the pandemic, HPCs and AI are being used for collecting and analyzing data for e-commerce, as well as in the increasing electrification demands of the automotive industry due to advanced driver-assistance systems (ADAS) and autonomous vehicles. 2020 also saw the continued development of 5G  technologies and their related infrastructure.

As these trends become more widespread, we will see an interesting relationship emerge between autonomous driving and 5G as their respective “innovations” play off of each other in real time. A fully- or semi-autonomous vehicle will require a perfect balance of power transmission, and download and upload speed while on the road. The need for remote functionality and connectivity will drive new cutting-edge solutions for infrastructure hardware and placement.

A short-term trend in the automotive industry is distinct in regions where the coronavirus is considered “under control,” as opposed to locations where populations remain under strict stay-at-home guidance. While the industry is still experiencing negative growth, this was seen in China and Korea in the middle of the year when coronavirus cases dropped, causing people to purchase new cars as a safer alternative to public transportation.

Indium Corporation’s Focus for 2021 Indium Corporation continues its commitment to working collaboratively with our customers to inspire innovation and create cutting-edge solutions to the industry’s current and emerging problems. In 2021, we’ll continue to deliver new materials for the next generation of semiconductor packaging needs, as automotive, server, HPC, and AI industries demand more advanced packaging technologies.

Laser Annealing: Bringing 60 Years of Innovation to Semiconductor Manufacturing

Tino Petsch

Tino Petsch, CEO, 3D-Micromac

Since the first working laser was developed 60 years ago, lasers have been used in a wide array of industrial markets. Within the semiconductor industry, lasers play many roles, from wafer dicing, surface structuring and sample preparation to ablation, sintering, via drilling and patterning. One application, laser annealing, is gaining particular steam in semiconductor manufacturing and will play a greater role in the coming years driven in part by two key markets: power devices and magnetic sensors.

Thanks to the public’s growing environmental awareness, government policies on renewable energy as well as declining production costs, we are witnessing a rise in adoption of electric vehicles (EVs) and hybrid EVs, more power-efficient appliances as well as solar and wind power infrastructure. This trend is fueling the silicon carbide (SiC) power device market, which is experiencing double-digit growth due to the benefits of SiC in boosting power efficiency and minimizing energy loss in EVs, hybrid EVs, power supplies, and solar and wind inverters.

While much goes into the development of SiC power devices, the formation of ohmic contacts on the backside of these devices plays a key role in defining their electrical characteristics and mechanical strength. Traditionally, thermal annealing has been used for ohmic contact formation on the backside of SiC wafers, but the extremely high temperatures associated with this process can damage structures on the front side of wafers. As the industry migrates to thinner SiC wafers, this problem only worsens. Selective laser annealing provides an attractive alternative due to its high precision and repeatability, and low thermal leakage, which prevent thermal damage to the wafer frontside that can negatively affect device performance.

For the magnetic sensor market, growth is being driven by position, speed and angle sensing needs across consumer and industrial applications, including smartphones, wearables, automobiles and robotics. Thermal annealing has been used to maximize the magnetoresistance effects of magnetic sensors. However, this approach requires multiple steps to produce sensors with different magnetic orientations that are then mounted in multi-chip packages or processed as integrated monolithic packages, which adds significantly to production costs and cycle time.

Selective laser annealing provides several advantages over thermal annealing for magnetic sensor manufacturing. Its higher precision enables processing of smaller magnetic device structures, which in turn leads to more devices per wafer. In addition, its ability to set different reference magnetization directions on sensors across a single wafer reduces process steps and simplifies the manufacturing flow, enabling more cost-effective production of integrated monolithic sensor packages.

Lasers have long played a crucial role in semiconductor manufacturing. As the call continues for smaller device formfactors, increased performance and lower production costs, selective laser annealing will find more opportunities to support new product generations.

Leading-Edge Devices Will Drive Continued Strong Performance in 2021

John Voltz

John Voltz, Senior Vice President, Business Development, Reno Sub-Systems

In looking back at the past year, we’re very thankful that our staff and their families have remained healthy, with no reports of COVID-19 cases to date. Our company has stayed healthy as well, thanks to our team’s remarkable success in maintaining the business and guiding projects to completion. Likewise, the equipment industry overall is doing well – SEMI is reporting that capital equipment billings in the first nine months of 2020 exceeded the same period in 2019 by nearly 24%. Indications are that 2021 will be another year of strong capex growth, particularly given the latest spending announcements from the three leading chipmakers, which have sustained high levels of wafer production throughout the pandemic.

This bodes well for development of leading-edge devices, where Reno is most clearly differentiated. At sub-10nm nodes (7/5/3nm), our technology becomes an enabler for reducing RF variability from wafer to wafer, run to run, and tool to tool. This helped make 2020 our best year yet. We set new quarter-by-quarter records, and we rolled out new products, including our first integrated system that combines our RF matching network and RF generator technologies. We expect the business to grow even more next year as development of 3D NAND and other advanced devices for 5G continues – we’re moving forward with some design wins; we were issued nine patents, substantiating our position as the world leader in RF Matching Network technology; and we anticipate wins for our new tools that will result in heightened production of matches and generators in the year ahead. End users, whose move to the next node was already under way pre-pandemic, are increasingly recognizing our value proposition and are asking OEMs for solutions that include EVC matching for nanoscale manufacturing.

Also important for Reno in the coming year is continuing to differentiate ourselves and further our position in the niche we created, as OEMs increasingly recognize that they need this technology. To support this effort, we put additional engineering resources into projects that were accelerated during the pandemic, and this extra horsepower has enabled us to accelerate our roadmap – we look forward to launching a major new product in mid-2021.

NAND, Foundry and Image Sensor Expansion

S. Mark Borowicz

S. Mark Borowicz, CEO, Pure Wafer

We see growth in the global semi industry YoY as the global pandemic has caused an increase in demand for communication devices, IT, server storage and health care.  Automotive will still lag behind previous expected growth with the unknown effects of the pandemic dragging on into 2021.  This will lead to more factory expansions in 2021 for NAND, Foundry & Image sensors and therefore more wafer usage overall (Reclaim and Prime).

In terms of the Wafer Reclaim, critical to many OEM and IDM programs, the market was  strong in 2020 with more companies trying to minimize their supply chain risks and reduce overall spending.  With increases in prime wafer usage in 2021 from increasing fab expansions, we expect to see volume increases in wafer reclaim.  We also see a tightening of the prime wafer market prices with the increases in demand worldwide which in turn will have most customers turning to wafer reclaim to save on budgets.

2021: A Strong Year for Growth

Dalia Vernikovsky

Dalia Vernikovsky, CEO, ASNA

ASNA, as many of our many leaders and friends in the industry, has had to step back and reflect on the changing world thrust upon us in 2020.

Of course, also the impact of Covid-19  on our businesses as well as our staff and also, those we serve. Daunting times that have left a mark on every part of our world.

However, with the advent of hope for a vaccine, and the essential nature of our market, 2021 will be a very strong year for growth based on the utilization of technological leaps passed many obstacles, including EUV adoption and achievable yields through 7 nm and below aspects of manufacturing. ASNA has spent many years  of clarity towards innovation and a vision of the future to be a part of the paradigm shifts. No technology can be achieved without the commitment of their suppliers to meet all the stringent requirements in achieving success. We are poised for today and the future, and welcome the world back from the darkest of days to the brightest of future in health and the technological achievements that define our market and those that serve it.

New Materials Will Be Critical

Casper van Oosten

Casper van Oosten, Business Field Head and Managing Director for Intermolecular, a part of the Performance Materials Business of Merck KGaA, Darmstadt, Germany

There is a surge of start-up innovation in emerging semiconductor technologies such as neuromorphic and quantum computing. As mature technologies are seeing the end of the scaling roadmaps, these startups are embarking on alternative approaches, often requiring new combinations of materials or novel processing to achieve desired device performance.  We expect to see more startup innovation in these technologies over those focused on the replacement of NAND or DRAM.

New materials will be critical to find the optimal devices for neuromorphic computing-ones that can replicate the functions of neurons and synapses. Several non-volatile memristor options are strong candidates in part due to their scalability and low power operation. Each option has its own set of material options including metal oxides for resistive switching random access memory (ReRAM), chalcogenides for phase change memory (PCM), hafnium oxide (HfO2) and Hafnium-Zirconium Oxide (HZO) for ferroelectric RAM (FERAM), and Li-based materials for newly emerging electrochemical RAM (ECRAM).  As neuromorphic computing protocols mature over the next three to five years, companies will need to demonstrate performance and integration flexibility.

Exit mobile version