Pete’s Posts

Process Watch: The (automotive) problem with semiconductors

By David W. Price, Douglas G. Sutherland and Jay Rathert Author’s Note: The Process Watch series explores key concepts about process control—defect inspection, metrology and data analysis—for the semiconductor industry. This article is the first in a five-part series on semiconductors in the automotive industry. In this article, we introduce some of the challenges involved in the automotive supply chain. Future articles in the series will address specific process control…

The ConFab 2018 Update

A new wave of growth is sweeping through the semiconductor industry, propelled by a vast array of new applications, including artificial intelligence, virtual and augmented reality, automotive, 5G, the IoT, cloud computing, healthcare and many others. The big question facing today’s semiconductor manufacturers and their suppliers is how can they best position themselves to take advantage of this tremendous growth. Finding answers to that question is the goal of The…

The ConFab 2018 will be held May 20-23

The ConFab 2018, to be held May 20-23 in Las Vegas, will take a close look at the new applications driving the semiconductor industry, the technology that will be required at the device and process level to meet new demands, and – perhaps most importantly – the kind of strategic collaboration that will be required. It is this combination of business, technology and social interactions that make The ConFab so…

The ConFab Preview

The agenda is set for The ConFab, to be held May 14-17, 2017 in San Diego at the iconic Hotel del Coronado. While reviewing the abstracts for just the Monday morning session, it struck me how well our speakers will cover the complex opportunities and challenges facing the semiconductor industry. In the opening keynote, for example, Hans Stork, Senior Vice President and Chief Technical Officer, ON Semiconductor we will discuss…

The New Driver for Semiconductor Tech

Over the past 40 years, the electronics industry has gone through three distinct stage or “waves” of evolution. Last year, in a Solid State Technology webcast presentation, Intel’s Islam Salama described the waves and how the latest wave is driving the semiconductor industry in new and very different ways. Dr. Salama is responsible for packaging substrate pathfinding of high density interconnects across all Intel products. His team focuses on packaging…

Process Watch: Salami slicing your yield

By David W. Price and Douglas G. Sutherland Author’s Note: The Process Watch series explores key concepts about process control—defect inspection and metrology—for the semiconductor industry. Following the previous installments, which examined the 10 fundamental truths of process control, this new series of articles highlights additional trends in process control, including successful implementation strategies and the benefits for IC manufacturing.  Introduction In a previous Process Watch article [1], we showed…

Etch Abatement Needed at 200mm Fabs to Meet WSC Goals for 2020

I’m delighted to turn the blog over again to Mike Czerniak, Environmental Solutions Business Development Manager at Edwards. A longtime champion of the environment, Mike, nominated by the UK Department of Energy and Climate Change (DECC), was just been accepted as the ‘expert witness’ on CF4 for the forthcoming Intergovernmental Panel on Climate Change (IPCC) working party on emissions of this extremely long-lived gas (50,000 years). Etch Abatement Needed at 200mm…

Process Watch: Yield management turns green

By David W. Price, Douglas G. Sutherland and Kara L. Sherman Author’s Note: The Process Watch series explores key concepts about process control—defect inspection and metrology—for the semiconductor industry. Following the previous installments, which explored the 10 fundamental truths of process control, this new series of articles highlights additional trends in process control, including successful implementation strategies and the benefits for IC manufacturing. For this article, we are pleased to…

10 Reasons to Attend The ConFab this June

The ConFab Conference and Networking Event will be held June 12-15. Presented by Solid State Technology, this executive-level event is designed exclusively for those driving growth and innovation in the semiconductor industry. With a theme the “New Age of Innovation for Semiconductors,” it features deep insights on the challenges and opportunities facing the industry and also offers powerful networking opportunities. Here are my top 10 reasons to register now. The…

Does Consolidation Put Innovation at Risk?

Consolidation in the semiconductor industry continues apace, with more than $100 billion in mergers and acquisitions announced in 2015, and more to come in 2016. “With our industry growth rates being so low, it’s a lot cheaper to acquire market share than it is to invest and beat your competitor over the head,” said analyst Bill McClean, speaking at SEMI’s Industry Strategy Symposium (ISS) in January. One potentially negative impact…

Featured Products