Synopsys Accelerates Next-Level Chip Innovation on TSMC Advanced Processes

Synopsys, Inc. today announced broad EDA and IP collaborations with TSMC for advanced node designs and have been deployed across a range of AI, high-performance computing, and mobile designs.

EFC Awarded CGA Environmental Excellence Award

In significant recognition of its commitment to sustainable practices, EFC Gases & Advanced Materials was awarded the 2024 Compressed Gas Association (CGA) Environmental Excellence Award at its annual meeting.

Speeding Through Nanowire

Nanowires under tension create the basis for ultrafast transistors.

Intel Launches $1 Billion Fund to Build a Foundry Innovation Ecosystem

Intel today announced a new $1 billion fund to support early-stage startups and established companies building disruptive technologies for the foundry ecosystem.

Toshiba to Expand Power Semiconductor Production Capacity With 300-Millimeter Wafer Fabrication Facility

Toshiba Electronic Devices & Storage Corporation today announced that it will construct a new 300-milimeter wafer fabrication facility for power semiconductors at its main discrete semiconductor production base, Kaga Toshiba Electronics Corporation, in Ishikawa Prefecture.

NIST Researchers Resurrect and Improve a Technique for Detecting Transistor Defects

Researchers at the National Institute of Standards and Technology (NIST) have revived and improved a once-reliable technique to identify and count defects in transistors, the building blocks of modern electronic devices such as smartphones and computers.

The Micron Foundation Commits Over $1 Million to Promote Greater Diversity in Engineering in Idaho

Micron Technology, Inc. and the Micron Foundation today announced more than $1 million in grants to the colleges of engineering at Boise State University and the University of Idaho (U of I).

Magnetic ATE Company Hprobe Expands Presence into Korea with Order from Major Semiconductor Manufacturer and Signs with Woowon for Distribution

Hprobe, a provider of turnkey semiconductor Automatic Test Equipment (ATE) for magnetic devices, today announced the receipt of a significant order from a tier-1 semiconductor manufacturer in Korea for a wafer-level magnetic tester.

SIA Commends House Passage of Critical Semiconductor Manufacturing and Research Investments

House and Senate must now reach agreement on joint competitiveness legislation containing CHIPS Act investments that can be passed by both chambers and signed into law by President Biden.

American Semiconductor Academy (ASA) Initiative and SEMI Partner to Bolster Microelectronics Industry Talent Pool

SEMI and the American Semiconductor Academy (ASA) Initiative, a collaborative national education network of faculty at universities and colleges across the U.S. who are engaged in semiconductor research and education, today announced a partnership to build a comprehensive workforce development program designed to close the microelectronics industry’s widening talent gap.

Intrinsic Announces Breakthrough as Memory Devices Successfully Demonstrated at Commercially Relevant Nanometre Scale

Intrinsic Semiconductor Technologies Ltd today announces that it has successfully scaled its silicon oxide-based resistive random access memory devices (RRAM) and demonstrated electrical performance characteristics that will enable their use as high-performance, low-cost, embedded, non-volatile memory in logic devices at advanced processing nodes.

Order for a Research / Pilot Production MBE Machine in Asia

RIBER, a manufacturer of Molecular Beam Epitaxy (MBE) equipment serving the semiconductor industry, is announcing an order for an automatic MBE system in Asia.

ASCA Acquires the World’s Largest Intellectual Property Portfolio for Printed Organic Photovoltaics

ASCA – an ARMOR Group company, the organic photovoltaics (OPV) global leader, has purchased the most relevant device- and module-related intellectual property (IP) portfolio regarding printed OPV.

Automotive Sensors Market To Reach $55B By 2030

A new study by Global Market Insights predicts the automotive sensors market size will exceed $55 billion by 2030. Sensors of various types, including LiDAR, pressure, temperature, and image, play a key role in these safety solutions, owing to their ability to offer higher accuracy and faster response rates in the event of accidents or crashes.

Sensor/Actuator and Discrete Sales Surge in 2021, Not So for Opto

Shortages, tight supplies, and higher prices drive up most O-S-D products in the economic rebound while CMOS image sensor sales are muted by U.S.-China disputes and softness in some systems, says report.

SmartKem and Nanosys Enter into Joint Development Agreement

SmartKem, Inc., a company seeking to reshape the world of electronics with a revolutionary new organic semiconductor platform that enables a new generation of displays, and Nanosys, Inc. announced today that they have entered into a joint development agreement to work together on a new generation of low-cost solution printed microLED and quantum dot materials for advanced displays.

Anant Adke of Siemens EDA Joins Si2 Board of Directors

Anant Adke, vice president of Engineering, Siemens EDA, has been elected to the Silicon Integration Initiative board of directors. He replaces Juan Rey, who retired from Siemens EDA in December.

Weebit Appoints NVM Expert Gabriel Molas as Chief Scientist

Weebit Nano Limited, a developer of next-generation memory technologies for the global semiconductor industry, announced it has appointed ReRAM and non-volatile memory (NVM) expert Gabriel Molas as Chief Scientist.

Alchip Technology Moves North America Operation

Alchip Technologies, Limited has moved its North American headquarters to upgraded accommodations at 2107 North First Street, San Jose, California.

Veeco Receives Multi-Tool Order

Veeco Instruments Inc. today announced that a manufacturer of optoelectronic components has ordered multiple Lumina Metal Organic Chemical Vapor Deposition (MOCVD) Systems for production of leading-edge photonics applications.

Kulicke & Soffa Expands Thermal Compression Bonding Capabilities to Accelerate Innovation in the Integration of Semiconductor and Silicon Photonics

Kulicke and Soffa Industries, Inc.  announced it is expanding its Thermal Compression Bonding (TCB) capabilities to accelerate innovation in the integration of semiconductor and Silicon Photonics.

pSemi Introduces Complete 5G mmWave RF Front-End (RFFE) Solution

pSemi Corporation, a Murata company focused on semiconductor integration, announces the expansion of its millimeter wave (mmWave) RF front-end portfolio for 5G wireless infrastructure applications.

Cadence Appoints Mary Louise Krakauer to Board of Directors

Cadence Design Systems, Inc. announced the appointment of Mary Louise (ML) Krakauer to its board of directors, effective January 31, 2022.

Featured Video

Is your semiconductor plant seeking methods to enhance sustainability in wafer manufacturing and water reclamation? The semiconductor sector faces two challenges: waste reduction and water usage. The use of on-line water analytics can assist in overseeing water quality in both wafer manufacturing and reclamation/reuse procedures. This video underscores the significance of monitoring the levels of conductivity, TOC, and microbes to identify impurities that may lead to rejected wafers. Monitoring these parameters also ensures the purity of water to improve wafer quality and yield. Furthermore, this video emphasizes the importance of monitoring the levels of dissolved oxygen, TOC, and pH in waste streams to optimize water recovery.

Featured Products

EVENTS

April

202430aprAll Day01mayThermal Management Expo 2024Suburban Collection Showplace, 46100 Grand River Ave. Novi, MI 48374Featured

May

202430aprAll Day01mayThermal Management Expo 2024Suburban Collection Showplace, 46100 Grand River Ave. Novi, MI 48374Featured

202401mayAll Day02MEMS & Sensors Technical Congress — MSTC 2024University of California, Los Angeles, 405 Hilgard Avenue, Covel Commons in Sunset Village, Housing at Luskin CenterFeatured

202406mayAll Day09ESTECH 2024Contamination Control • Environmental Test/Reliability • Nanotechnology FacilitiesPlanet Hollywood, 3667 S Las Vegas BlvdFeatured

202413mayAll Day16Advanced Semiconductor Manufacturing Conference — ASMC 2024Hilton Albany, 40 Lodge StreetFeatured

202414mayAll Day17Display Week 2024San Jose McEnery Convention Center, 408 Almaden BlvdFeatured

202416may1:00 pm5:00 pmWomen in Semiconductors — WIS 2024Hilton Albany, 40 Lodge StreetFeatured

June

202412junAll Day143D & Systems SummitHeterogeneous Systems for the Intelligently Connected EraHilton Dresden Hotel

202423jun(jun 23)4:25 pm27(jun 27)4:25 pmDesign Automation Conference — DAC 2024Moscone Center, 747 Howard Street